TU Darmstadt / ULB / TUprints

An Overview of DRAM-Based Security Primitives

Anagnostopoulos, Nikolaos ; Katzenbeisser, Stefan ; Chandy, John ; Tehranipoor, Fatemeh (2023)
An Overview of DRAM-Based Security Primitives.
In: Cryptography, 2018, 2 (2)
doi: 10.26083/tuprints-00017098
Article, Secondary publication, Publisher's Version

[img]
Preview
Text
cryptography-02-00007-v3.pdf
Copyright Information: CC BY 4.0 International - Creative Commons, Attribution.

Download (862kB) | Preview
Item Type: Article
Type of entry: Secondary publication
Title: An Overview of DRAM-Based Security Primitives
Language: English
Date: 20 November 2023
Place of Publication: Darmstadt
Year of primary publication: 2018
Place of primary publication: Basel
Publisher: MDPI
Journal or Publication Title: Cryptography
Volume of the journal: 2
Issue Number: 2
Collation: 33 Seiten
DOI: 10.26083/tuprints-00017098
Corresponding Links:
Origin: Secondary publication DeepGreen
Abstract:

Recent developments have increased the demand for adequate security solutions, based on primitives that cannot be easily manipulated or altered, such as hardware-based primitives. Security primitives based on Dynamic Random Access Memory (DRAM) can provide cost-efficient and practical security solutions, especially for resource-constrained devices, such as hardware used in the Internet of Things (IoT), as DRAMs are an intrinsic part of most contemporary computer systems. In this work, we present a comprehensive overview of the literature regarding DRAM-based security primitives and an extended classification of it, based on a number of different criteria. In particular, first, we demonstrate the way in which DRAMs work and present the characteristics being exploited for the implementation of security primitives. Then, we introduce the primitives that can be implemented using DRAM, namely Physical Unclonable Functions (PUFs) and True Random Number Generators (TRNGs), and present the applications of each of the two types of DRAM-based security primitives. We additionally proceed to assess the security such primitives can provide, by discussing potential attacks and defences, as well as the proposed security metrics. Subsequently, we also compare these primitives to other hardware-based security primitives, noting their advantages and shortcomings, and proceed to demonstrate their potential for commercial adoption. Finally, we analyse our classification methodology, by reviewing the criteria employed in our classification and examining their significance.

Uncontrolled Keywords: dynamic random access memory (DRAM), physical unclonable function (PUF), true random number generator (TRNG), security primitive, overview
Status: Publisher's Version
URN: urn:nbn:de:tuda-tuprints-170980
Additional Information:

This article belongs to the Special Issue PUF-Based Authentication

Classification DDC: 000 Generalities, computers, information > 004 Computer science
600 Technology, medicine, applied sciences > 621.3 Electrical engineering, electronics
Divisions: 20 Department of Computer Science > Security Engineering
Date Deposited: 20 Nov 2023 10:04
Last Modified: 05 Dec 2023 06:02
SWORD Depositor: Deep Green
URI: https://tuprints.ulb.tu-darmstadt.de/id/eprint/17098
PPN: 513429867
Export:
Actions (login required)
View Item View Item